优秀的 Verilog/FPGA开源项目介绍(二十九)- 开源网站

本文介绍了几个开源项目网站,如Hackster、Hackaday和Crowdsupply,这些平台汇集了各种SDR、图像处理、古老CPU复现等项目。特别提到Hackster上Xilinx和Intel的专栏,提供了丰富的FPGA相关开源项目,适合学习和参考。同时,Crowdsupply作为一个众筹平台,也有众多创新硬件项目,许多项目开源源码。
摘要由CSDN通过智能技术生成


a381838080e3ed26c9c883132ad08e86.jpeg

介绍

之前介绍的开源项目都偏向理论,那有没有一些开源项目可以参考学习呢?

下面我们就介绍几个开源网站,网站上会经常有一些开源项目,涉及各种各样的项目:SDR、图像处理、古老CPU复现。。。

Hackster

https://www.hackster.io/

推荐专栏:

https://www.hackster.io/xilinx

https://www.hackster.io/intel

该网站是个集各种平台的开源网站,主要的平台如下:

6ea16763d12a028e3cee2bb9ebbc58ac.png
OpenFPGA
关注 关注
  • 5
    点赞
  • 38
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
订阅专栏
优秀Verilog/FPGA开源项目介绍(四)- Ethernet
OpenFPGA的博客
10-25 2818
今天介绍两个(only two)网络相关的开源项目。Alex的verilog-ethernet之前在介绍PCIe项目时有介绍过Alex的项目,当时重点介绍了PCIe。今天主要介绍他的eth...
优秀Verilog/FPGA开源项目介绍(一)-PCIe通信
OpenFPGA的博客
10-09 6733
优秀Verilog/FPGA开源项目介绍(一)-PCIe通信今天开始会陆续介绍一些优秀开源项目,项目基本都是和FPGA或HDL相关的。对于一些找工作或者急需项目经验的人来说,这些项目...
开源项目网址汇总
weixin_43889751的博客
11-18 3685
开源项目网址汇总
推荐开源项目FPGA-Toolchain
最新发布
gitblog_01006的博客
08-24 485
推荐开源项目FPGA-Toolchain fpga-toolchainMulti-platform nightly builds of open source FPGA tools项目地址:https://gitcode.com/gh_mirrors/fp/fpga-toolchain 项目介绍 FPGA-Toolchain 是一款致力于推动开源硬件设计的夜间构建工具集合,尽管当前项目已不再...
32个FPGA开源网站
myxnew的专栏
10-28 6269
原文地址:32个FPGA开源网站作者:行者无衣 1. OPENCORES.ORG 这里提供非常多,非常好的PLD了内核,8051内核就可以在里面找到。 进入后,选择project或者由http//www.opencores.org/browse.cgi/by_category进入。 对于想了解这个行业动态人可以看看它的投票调查。   http://www.opencores.org
FPGA开源网站和论坛介绍
piracymonk的博客
03-04 6378
学习FPGA,找不到资料?-FPGA开源网站和论坛介绍 碎碎思 目录 学习FPGA,找不到资料?-FPGA开源网站和论坛介绍 1.25个FPGA开源网站 1.1http://OPENCORES.ORG 1.2FPGAs 4 fun 1.3. Open Collector 1.4 Intel、Xilinx官网 1.5莱迪思半导体公司 1.6 Altera中文主页 强烈推荐 1.7epanorama FPGA学习强烈推荐。 1.8 可编程逻辑器件 - Programa...
常用VERILOG& FPGA开源网站
asd1233443的博客
03-20 4577
(1)https://opencores.org/ (2)http://www.codeforge.cn/ (3)http://asics.ws/v6/ 目前碰到过的就这三个。。。后续如有遇上新的再更新。
优秀Verilog/FPGA开源项目介绍(二十一)- 卷积神经网络(CNN)
OpenFPGA的博客
05-16 4720
介绍在深度学习中,卷积神经网络(CNN或ConvNet)是一类人工神经网络(ANN),最常用于分析视觉图像。CNN 也称为移位不变或空间不变人工神经网络(Shift Invariant or Space Invariant Artificial Neural Networks ,SIANN ),它基于卷积核或滤波器的共享权重架构,沿输入特征滑动并提供称为特征映射的平移等变...
优秀Verilog/FPGA开源项目介绍(二十五)- FPGA图像处理库
OpenFPGA的博客
06-06 7794
今天介绍几个和图像处理的项目,废话不多说,我们开始吧~FPGA-Imaging-Libraryhttps://github.com/dtysky/FPGA-Imaging-Library介绍一个开源FPGA图像处理库。F-I-L是一个FPGA平台的开源的图像处理库,已经拥有了许多常用操作,并在不断更新中。这些操作被以IP核的形式进行了封装,遵循同一种规范化的接口,同时具...
优秀Verilog/FPGA开源项目介绍(十七)- AXI
OpenFPGA的博客
01-24 6753
优秀Verilog/FPGA开源项目介绍(十七)- AXI官网❝https://developer.arm.com/architectures/system-architectures...
FPGA源代码
11-21
<>一书的所有源代码,对初学者非常合适
FPGA有哪些优质的带源码的IP开源网站?
yundanfengqing_nuc的专栏
05-06 1740
HDL在这方面开源的并不多,但是我个人认为fpga4fun和opencores在这些里面就属于前二,完善的社区及完整的HDL代码是主要原因。其他的也是很完美的平台,大家斟酌使用。最后还有一些比较单一的网站,有兴趣可以看看国外VHDL& Verilog IP Resource 下载网站列表。
轻松驾驭FPGA设计:揭秘最实用的带源码IP开源网站
FPGA爱好者的博客
01-11 1770
HDL在这方面开源的并不多,但是我个人认为fpga4fun和opencores在这些里面就属于前二,完善的社区及完整的HDL代码是主要原因。其他的也是很完美的平台,大家斟酌使用。参考:OpenFPGAt=N7T8t=N7T8t=N7T8t=N7T8t=N7T8t=N7T8t=N7T8。
33个FPGA开源网站分享
dpwkj的博客
06-03 399
快来get吧!
FPGA——工具篇】32个FPGA开源网站
Lily_9的博客
05-19 3446
1. OPENCORES.ORG 这里提供非常多,非常好的PLD了内核,8051内核就可以在里面找到。 进入后,选择project或者由http//www.opencores.org/browse.cgi/by_category进入。 对于想了解这个行业动态人可以看看它的投票调查。 http://www.opencores.org/polls.cgi/list Ope...
一些开源项目网址
11-05 872
 sourceforge http://www.sourceforge.netjava.net http://www.java.netwww.eclipse.orgwww.opensource.orgLomboz http://www.objectlearn.com/index.jsp (J2EE plugin for Eclipse)htmlArea http://sourc
开源项目网站
b02330224的专栏
06-07 3646
http://www.17ky.net/   一起开源 oschina.net open-open.org www.github.com http://www.open-java.com
优秀verilog/fpga开源项目介绍 pcie
01-23
优秀Verilog/FPGA 开源项目之一是 PCIe。PCIe(Peripheral Component Interconnect Express)是一种高速串行计算机扩展总线标准,用于连接外部设备和计算机系统。下面是我参考的介绍 PCIe 的 Verilog/FPGA 开源...
写文章

热门文章

  • Cadence License破解失败解决办法 63265
  • 高速差分信号AC耦合电容详解 53039
  • FPGA 主流芯片选型指导和命名规则(一) 49224
  • 史上最详细的ALLEGRO导出GERBER教程!卧龙会草根西米原创(转) 49071
  • 隔离模块介绍-隔离电源模块 40039

分类专栏

  • 优秀的 Verilog/FPGA开源项目介绍 付费 99篇
  • AXI 付费 25篇
  • 开源FPGA和它的工具们 17篇
  • FPGA和CNN 10篇
  • 数字硬件建模-SystemVerilog篇 40篇
  • HDLBits:SystemVerilog 30篇
  • Vivado那些事儿 65篇
  • HLS 18篇
  • ISP 4篇
  • ZYNQ 16篇
  • 数字硬件建模-Verilog篇 23篇
  • 古老CPU启示录 5篇
  • MIPI 4篇
  • PCIe 15篇
  • SDR 5篇
  • 笔试面试 2篇
  • Vitis 2篇
  • 杂七杂八 13篇
  • OpenCL 10篇
  • FPGA 223篇
  • C++
  • Vega-Prime
  • Matlab 1篇
  • Linux 3篇
  • C语言 3篇
  • 实用技巧 10篇
  • Office操作 2篇
  • 电路小常识 37篇
  • PCB 24篇
  • vivado-教程 3篇
  • EMC 1篇
  • OpenCV 1篇
  • 树莓派 3篇
  • 机器视觉 4篇
  • SOC-FPGA 4篇
  • CPU-FPGA 2篇
  • 并行计算 1篇
  • MPI 3篇
  • python 1篇
  • 物联网小常识 1篇
  • DEEP LEARNING 1篇
  • 区块链 3篇
  • 通信IC设计 6篇
  • 信号处理 2篇
  • 硬件设计 3篇

最新评论

  • 100G以太网光口的FPGA测试实例

    A seleção: 您好,请问我用的官方IP生成的例程跑测试文件,结果遇到txdata全零,ena,sop,eop都为低,rxdata开始全为不定态,ena,sop,eop都为低,接收部分状态机进入了wait_rx_aligned的状态,之前一个状态是gt_locked,但是按照手册,在gt_locked的状态内rx_core_busy_led应该拉高,但是在仿真中,信号并没有拉高,rx_aligned也一直为0,到现在没定位到问题在哪里,请问这是为啥啊

  • 100G以太网光口的FPGA测试实例

    A seleção: 您好,请问我用的官方IP生成的例程跑测试文件,结果遇到txdata全零,ena,sop,eop都为低,rxdata开始全为不定态,ena,sop,eop都为低,接收部分状态机进入了wait_rx_aligned的状态,之前一个状态是gt_locked,但是按照手册,在gt_locked的状态内rx_core_busy_led应该拉高,但是在仿真中,信号并没有拉高,rx_aligned也一直为0,到现在没定位到问题在哪里,请问这是为啥啊

  • HDLBits: 在线学习 SystemVerilog(六)-Problem 24-27

    m0_71685185: 你好,想问下HDL报这个错是怎么回事啊,之前一直这么写的没事,到24题就出错了,报错是这样的: Error (12007): Top-level design entity "top_module" is undefined ,然后我又试着编译了一下之前没问题的,也报这个错表情包

  • 绘制状态机小工具

    666ghj: 可以试试我写的这个:https://drawfa.lovexl.top/

  • Candence/Allegro启动找不到cdn_XXXX.dll文件解决方法

    qglobal: 感谢楼主,亲测有用,1分钟解决问题,赞

最新文章

  • 使用FPGA制作一个便携式 ADAS 系统
  • 用FPGA做一个全画幅无反相机
  • 国外应聘者面试时都会被问哪些问题
2024
10月 3篇
09月 8篇
08月 9篇
07月 9篇
06月 10篇
05月 6篇
04月 7篇
03月 9篇
02月 6篇
01月 9篇
2023年138篇
2022年239篇
2021年279篇
2020年181篇
2019年54篇
2018年90篇
2017年76篇
2016年26篇

目录

目录

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43元 前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

OpenFPGA

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或 充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值

深圳坪山网站建设公司合肥做网站优化公司企业如何优化网站运营新沂网站包年优化长沙网站建设优化商洛网站优化排名案例南安网站优化公司菏泽口碑好的网站设计优化新民网站优化seo昆明网站关键词优化推广外包网站内页优化公司sem网站优化推广费用惠州专业网站优化公司如何优化排名网站信得过易速达无锡网站优化厂家哪家好网站优化简历设计深圳网站优化工具网站优化后的白帽樟树市网站排名优化襄阳外包网站优化从化企业网站优化推广技巧连州商城网站优化referer对网站的优化网站建设优化常问火12星美评天津服务专业网站搜索优化商丘网站自然优化哪家价格便宜网站排名优化哪家实惠整站网站优化代办山西谷歌网站优化最优企业网站优化平台西城网站首页优化香港通过《维护国家安全条例》两大学生合买彩票中奖一人不认账让美丽中国“从细节出发”19岁小伙救下5人后溺亡 多方发声卫健委通报少年有偿捐血浆16次猝死汪小菲曝离婚始末何赛飞追着代拍打雅江山火三名扑火人员牺牲系谣言男子被猫抓伤后确诊“猫抓病”周杰伦一审败诉网易中国拥有亿元资产的家庭达13.3万户315晚会后胖东来又人满为患了高校汽车撞人致3死16伤 司机系学生张家界的山上“长”满了韩国人?张立群任西安交通大学校长手机成瘾是影响睡眠质量重要因素网友洛杉矶偶遇贾玲“重生之我在北大当嫡校长”单亲妈妈陷入热恋 14岁儿子报警倪萍分享减重40斤方法杨倩无缘巴黎奥运考生莫言也上北大硕士复试名单了许家印被限制高消费奥巴马现身唐宁街 黑色着装引猜测专访95后高颜值猪保姆男孩8年未见母亲被告知被遗忘七年后宇文玥被薅头发捞上岸郑州一火锅店爆改成麻辣烫店西双版纳热带植物园回应蜉蝣大爆发沉迷短剧的人就像掉进了杀猪盘当地回应沈阳致3死车祸车主疑毒驾开除党籍5年后 原水城县长再被查凯特王妃现身!外出购物视频曝光初中生遭15人围殴自卫刺伤3人判无罪事业单位女子向同事水杯投不明物质男子被流浪猫绊倒 投喂者赔24万外国人感慨凌晨的中国很安全路边卖淀粉肠阿姨主动出示声明书胖东来员工每周单休无小长假王树国卸任西安交大校长 师生送别小米汽车超级工厂正式揭幕黑马情侣提车了妈妈回应孩子在校撞护栏坠楼校方回应护栏损坏小学生课间坠楼房客欠租失踪 房东直发愁专家建议不必谈骨泥色变老人退休金被冒领16年 金额超20万西藏招商引资投资者子女可当地高考特朗普无法缴纳4.54亿美元罚金浙江一高校内汽车冲撞行人 多人受伤

深圳坪山网站建设公司 XML地图 TXT地图 虚拟主机 SEO 网站制作 网站优化